LEON

Ir a: navegación, búsqueda de
Este artículo es sobre la familia de microprocesadores. Para otras aplicaciones, vea León (desambiguación).

LEON es un 32-bit CPU microprocesador núcleo, basado en el SPARC-V8 RISC arquitectura y conjunto de instrucciones. Originalmente fue diseñado por el Espacio europeo de investigación y centro tecnológico (ESTEC), parte de la La Agencia Espacial Europea (ESA) y después de eso por Gaisler investigación. Se describe en synthesizable VHDL. León tiene un modelo de licencia dual: una LGPL/GPL HILO DENTAL licencia que puede ser utilizado sin cuota de licencia o una licencia propietaria que puede adquirirse para su integración en un producto propietario.[1][2] El núcleo es configurable a través de los medicamentos genéricos VHDL y se utiliza en sistema-en-un-chip (SOC) diseños en investigación y comercial.[3]

Contenido

  • 1 Historia
  • 2 Distribuciones y modelos de procesadores de LEON
    • 2.1 Flujo de diseño FPGA
    • 2.2 Terminología
    • 2.3 Leon2 procesador core
    • 2.4 Núcleo de procesador LEON2-FT
    • 2.5 Núcleo de procesador LEON3
    • 2.6 Núcleo de procesador LEON3-FT
    • 2.7 Núcleo de procesador LEON4
  • 3 Soporte de sistema operativo en tiempo real
  • 4 Véase también
  • 5 Referencias
  • 6 Enlaces externos

Historia

El proyecto León fue iniciado por la Agencia Espacial Europea (ESA) a finales de 1997 para estudiar y desarrollar un procesador de alto rendimiento para ser utilizado en proyectos espaciales europeos.[4] Los objetivos del proyecto fueron para proporcionar un diseño abierto, portable y no-propietario procesador, capaz de satisfacer necesidades futuras de rendimiento, compatibilidad de software y sistema bajo costo. Otro objetivo era ser capaz de fabricar en un Único evento alterado Proceso del semiconductor sensible (SEU). Para mantener el correcto funcionamiento en presencia de SEUs, detección de errores extensos y las funciones de gestión de errores eran necesarias. Los objetivos han sido para detectar y tolerar un error en cualquier registro sin intervención del software y para suprimir los efectos del único evento transitorio (conjunto) errores en lógica combinatoria.

La familia León incluye el primer diseño de LEON1 VHSIC Hardware Description Language (VHDL) que fue utilizado en el chip de prueba LEONExpress desarrollado en tecnología 0.25 μm para probar el concepto de tolerancia a fallos. El segundo diseño VHDL LEON2 fue utilizado en el dispositivo procesador AT697 de Atmel (F) y diversos dispositivos de sistema-en-viruta. Estas dos implementaciones de León fueron desarrolladas por la ESA. Gaisler investigación, ahora Aeroflex Gaisler, desarrollado el tercer diseño LEON3 y ha anunciado la disponibilidad de la cuarta generación de LEON, el procesador de LEON4.[5]

Distribuciones y modelos de procesadores de LEON

Un procesador LEON puede implementarse en Controladores Logicos Programables tales como una FPGA o fabricados en un ASIC. En esta sección y las subsecciones siguientes se centran en los procesadores de León como suave Núcleos de IP y resumir las principales características de cada versión del procesador y la infraestructura con la que el procesador está empaquetado, conocido como un LEON distribución.

Todos los procesadores de la serie León se basan en la arquitectura SPARC-V8 RISC. Leon2(-ft) tiene un cinco etapas tubería mientras que las versiones posteriores tienen una tubería de siete etapas. Leon2 y LEON2-FT se distribuyen como un diseño de sistema en chip que puede ser modificado mediante una herramienta de configuración gráfica. Mientras que el diseño LEON2(-FT) puede ser extendido y reutilizado en otros diseños, su estructura no enfatizar la reutilización de las piezas del diseño como bloques de construcción o permitir a los diseñadores a incorporar fácilmente nuevos núcleos IP en el diseño.

La distribución estándar de LEON2(-FT) incluye los siguientes núcleos de apoyo:[6]

  • Controlador de interrupción
  • Unidad de apoyo con tampón de trazas de depuración
  • Dos de 24 bits contadores de tiempo
  • Dos UARTs
  • 16-bit Puerto de la entrada-salida
  • Controlador de memoria.

Los núcleos LEON3, LEON3FT y LEON4 se suelen utilizar junto con la biblioteca de IP GRLIB. Mientras que las distribuciones LEON2 contienen un diseño que puede ser utilizado en varias tecnologías de blanco, GRLIB contiene varias plantillas, tanto para FPGA juntas de desarrollo y para ASIC objetivos que pueden ser modificados mediante una herramienta de configuración gráfica similar a la de la distribución de LEON2. El paquete de LEON/GRLIB contiene un mayor número de núcleos en comparación con las distribuciones LEON2 y también incluyen una extensión plug and play de la en-viruta AMBA autobús. Núcleos IP disponibles en GRLIB incluyen:[7]

  • 32-bit SDRAM controlador
  • 32-bit PCI puente con DMA
  • 10/100/1000 Mbit Ethernet MAC
  • 8/16/32-bit PROM y SRAM controlador
  • 16/32/64-bit DDR/DDR2 controladores
  • USB 2,0 controladoras de host y el dispositivo
  • PUEDE controlador
  • GRIFO regulador
  • SPI, I2CLos controladores ATA
  • UART con FIFO
  • Temporizador modular
  • Controlador de interrupción
  • Puerto de E/S de propósito general

Flujo de diseño FPGA

Documentación de diseño de flujo para el León en FPGA están disponibles del fabricante[8] y de recursos de terceros.[9]

Terminología

El término LEON2/LEON2-FT a menudo se refieren a la LEON2 system-on-chip de diseño, que es el núcleo del procesador LEON2 junto con el conjunto estándar de periféricos disponibles en la distribución de LEON2(-FT). Procesadores más adelante en la serie de LEON se utilizan en una amplia gama de diseños y son por lo tanto no tan firmemente junto con un conjunto estándar de periféricos. Con LEON3 y LEON4 el nombre se refiere normalmente a sólo el núcleo del procesador, mientras que LEON/GRLIB se utiliza para referirse al diseño completo del sistema en chip.

Leon2 procesador core

Leon2 tiene las siguientes características:

  • El GNU LGPL permite un alto grado de libertad de intervención en el código fuente disponible libremente.
  • Capacidad de configuración es una característica clave del proyecto,[10] y se logra mediante el uso de medicamentos genéricos VHDL.[11]
  • Ofrece todas las funciones básicas de un procesador en orden canalizada.
  • Es un proyecto bastante tamaño VHDL (alrededor de 90 archivos, para la distribución LEON2 completa, incluyendo los núcleos periféricos de IP)

Núcleo de procesador LEON2-FT

El procesador LEON2-FT es la único evento alterado tolerancia a fallos Versión del procesador LEON2 (FT). Flip-flops están protegidos por triple redundancia modular y todas las memorias internas y externas están protegidas por EDAC o bits de paridad. Aplican restricciones de licencia especial a esta IP (distribuida por la Agencia Espacial Europea[12]). Entre otros satélites, el procesador está previsto para ser utilizado en ESA Vehículo eXperimental intermedio (IXV) en 2014.[13]

Núcleo de procesador LEON3

El LEON3 es un synthesisable modelo VHDL de un procesador de 32 bits compatible con la arquitectura SPARC V8. El modelo es altamente configurable y particularmente conveniente para el sistema-en-un-chip (Rob) diseños. El código fuente completo está disponible bajo la licencia GNU GPL, permitiendo el uso para cualquier propósito sin cuota de licencia. LEON3 también está disponible bajo una licencia propietaria, lo que le permite ser utilizado en aplicaciones propietarias.

Hay varias diferencias entre los dos modelos de procesador LEON2 y el LEON3. Incluye LEON3 PM3 soporte y una tubería de siete etapas, mientras que LEON2 no soporta SMP y tiene un oleoducto de cinco etapas.

Núcleo de procesador LEON3-FT

El LEON3FT es una versión tolerante del estándar LEON3 SPARC V8 procesador. Se ha sido diseñado para funcionar en el ambiente áspero espacial e incluyen funciones para detectar y corregir acontecimiento molesto (SEU) errores en todas las memorias RAM en el chip. El procesador LEON3FT apoyar la mayoría de la funcionalidad en el procesador de LEON3 estándar y agrega las siguientes características:

  • Archivo de registro Corrección de errores SEU de hasta 4 errores por palabra de 32 bits
  • Memoria caché corrección de errores de hasta 4 errores por etiqueta o palabra de 32 bits
  • Autónomos y software de gestión de errores transparente
  • Ningún impacto de tiempo debido a la detección de errores o corrección

Las siguientes características del procesador LEON3 estándar no son compatibles con LEON3FT

  • Locales libreta de apuntes RAM (ni para la instrucción ni para los datos)
  • Caché de bloqueo
  • LRR (menos recientemente reemplazado) algoritmo de reemplazo de caché

El núcleo de LEON3FT se distribuye junto con una versión especial de FT de la biblioteca de GRLIP IP. Distribución de netlist sólo es posible.

Se propone una implementación FPGA llamada LEON3FT-RTAX para aplicaciones de espacio crítico.[14]

Núcleo de procesador LEON4

En enero de 2010, la cuarta versión del procesador LEON fue liberada.[5] Esta versión tiene las siguientes características nuevas:

  • Estática predicción de bifurcaciones añadido a tubería
  • Caché de nivel 2 opcional
  • ruta 64 bits o 128 bits a AMBA AHB interfaz
  • Un rendimiento más alto posible (reclamada por fabricante: 1.7 DMIPS/ MHz como opuesto a 1.4 DMIPS/MHz de LEON3)

Soporte de sistema operativo en tiempo real

El Sistemas operativos en tiempo real ese apoyo el núcleo de León son actualmente RTLinux, PikeOS, eCos, RTEMSNúcleo, ThreadX, OpenComRTOS, VxWorks (según un puerto por Gaisler Research), LynxOS (también por un puerto por Gaisler Research) y POK[15] (una gratis ARINC653 implementación liberado bajo la licencia BSD).

Véase también

Portal icon Portal de software libre
  • OpenSPARC
  • S1 Core
  • OpenRISC
  • ERC32
  • FeiTeng-1000
  • Microprocesador suave

Referencias

  1. ^ "La Agencia Espacial Europea lanza libre Sparc-como base"Peter Clarke, EE Times, 06/03/2000
  2. ^ Desarrollador de procesador Sparc libre va comercialPeter Clarke, estrategias de silicio, EEtimes, 24/02/2005
  3. ^ D & R industrial artículos, Uso acertado de un procesador de código abierto en un comercial de ASIC
  4. ^ "Microprocesador multipropósito de próxima generación", J. Andersson, Gaisler J., R. Weigand, sistemas de datos en aeroespacio 2010 (DASIA2010), 2010 [1]
  5. ^ a b Gaisler investigación, Comunicado de prensa del procesador LEON4
  6. ^ La Agencia Espacial Europea, LEON2FT
  7. ^ Aeroflex Gaisler, Biblioteca SOC
  8. ^ Gaisler investigación, ahora Aeroflex Gaisler, Manual de usuario GRLIB
  9. ^ Buttelmann, Una buena guía de simulación LEON3
  10. ^ ESA microelectrónica, desarrollo de sistema-en-viruta
  11. ^ Gaisler investigación, Características del procesador de LEON3
  12. ^ La Agencia Espacial Europea IP Cores biblioteca Página de LEON-2 FT
  13. ^ LEON: el espacio que Europa construido de la viruta, Spacedaily.com09 de enero de 2013
  14. ^ Gaisler investigación, Procesador Fault-tolerant LEON3FT-RTAX
  15. ^ POK

Enlaces externos

  • SPARC: Open Source en DMOZ
  • Gaisler investigación
  • Tutorial de LEON3
  • GNU/Linux en la arquitectura SPARC con puerto original en LEON

Otras Páginas

Obtenido de"https://en.copro.org/w/index.php?title=Leon&oldid=620752197"